EPC spectre model to design NMOS is not working in ADEL Cadence Virtuoso Simulation

Hello. I got stuck with this problem for a long back. I dont have any body to help. Please, help me. I am using the specter model of EPC2040 to design and simulate POWER NMOS. I made a symbol with the ports and included simulation path But the below error is coming during simulation:

Cadence (R) Virtuoso (R) Spectre (R) Circuit Simulator
Version 12.1.0.347.isr3 32bit – 10 Jan 2013
Copyright (C) 1989-2012 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, Virtuoso and Spectre are registered trademarks of Cadence Design Systems, Inc. All others are the property of their respective holders.

Protected by U.S. Patents:
5,610,847; 5,790,436; 5,812,431; 5,859,785; 5,949,992; 5,987,238;
6,088,523; 6,101,323; 6,151,698; 6,181,754; 6,260,176; 6,278,964;
6,349,272; 6,374,390; 6,493,849; 6,504,885; 6,618,837; 6,636,839;
6,778,025; 6,832,358; 6,851,097; 6,928,626; 7,024,652; 7,035,782;
7,085,700; 7,143,021; 7,493,240; 7,571,401.

Includes RSA BSAFE(R) Cryptographic or Security Protocol Software from RSA Security, Inc.

User: buet Host: cadence HostID: 7F0100 PID: 6926
Memory available: 936.7674 MB physical: 1.9851 GB
CPU Type: 12th Gen Intel(R) Core™ i5-1235U
Processor PhysicalID CoreID Frequency
0 0 0 2496.0
1 0 1 2496.0
2 1 0 2496.0
3 1 1 2496.0

Simulating `input.scs’ on cadence at 10:15:03 PM, Mon Jun 5, 2023 (process id: 6926).
Current working directory: /home/buet/simulation/NMOS_sim/spectre/schematic/netlist.
Command line:
/home/buet/cadence/MMSIM121/tools.lnx86/spectre/bin/32bit/spectre
input.scs +escchars +log …/psf/spectre.out +inter=mpsc
+mpssession=spectre1_3272_16 -format psfxl -raw …/psf
+lqtimeout 900 -maxw 5 -maxn 5
spectre pid = 6926

Loading /home/buet/cadence/MMSIM121/tools.lnx86/cmi/lib/5.0/libinfineon_sh.so …
Loading /home/buet/cadence/MMSIM121/tools.lnx86/cmi/lib/5.0/libphilips_o_sh.so …
Loading /home/buet/cadence/MMSIM121/tools.lnx86/cmi/lib/5.0/libphilips_sh.so …
Loading /home/buet/cadence/MMSIM121/tools.lnx86/cmi/lib/5.0/libsparam_sh.so …
Loading /home/buet/cadence/MMSIM121/tools.lnx86/cmi/lib/5.0/libstmodels_sh.so …
Reading file: /home/buet/simulation/NMOS_sim/spectre/schematic/netlist/input.scs
Reading file: /home/buet/cadence/gpdk090_v4.6/models/spectre/gpdk090.scs
Reading file: /home/buet/cadence/gpdk090_v4.6/models/spectre/gpdk090_mos.scs
Reading file: /home/buet/cadence/gpdk090_v4.6/models/spectre/gpdk090_mos_iso.scs
Reading file: /home/buet/cadence/gpdk090_v4.6/models/spectre/gpdk090_resistor.scs
Reading file: /home/buet/cadence/gpdk090_v4.6/models/spectre/resd_va.va
Reading link: /home/buet/cadence/MMSIM121/tools.lnx86/spectre/etc/ahdl/discipline.h
Reading file: /home/buet/cadence/MMSIM121/tools.lnx86/spectre/etc/ahdl/disciplines.vams
Reading link: /home/buet/cadence/MMSIM121/tools.lnx86/spectre/etc/ahdl/constants.h
Reading file: /home/buet/cadence/MMSIM121/tools.lnx86/spectre/etc/ahdl/constants.vams
Reading file: /home/buet/cadence/gpdk090_v4.6/models/spectre/rnoise_va.va
Reading file: /home/buet/cadence/gpdk090_v4.6/models/spectre/gpdk090_capacitor.scs
Reading file: /home/buet/cadence/gpdk090_v4.6/models/spectre/gpdk090_diode.scs
Reading file: /home/buet/cadence/gpdk090_v4.6/models/spectre/gpdk090_bipolar.scs
Reading file: /home/buet/DC_DC_Hybrid/MOSmodel/EPC2040.scs

Notice from spectre in `EPC2040’, during circuit read-in.
“input.scs” 16: Subcircuit ‘EPC2040’ is empty.

Time for NDB Parsing: CPU = 638.902 ms, elapsed = 614.483 ms.
Time accumulated: CPU = 638.902 ms, elapsed = 614.483 ms.
Peak resident memory used = 32.3 Mbytes.

Error found by spectre during circuit read-in.
ERROR (SFE-3): “input.scs” 15: `EPC2040’ is being redefined.
ERROR (SFE-23): “/home/buet/DC_DC_Hybrid/MOSmodel/EPC2040.scs” 7: setenv is an instance of an undefined model 0.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_6.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_6.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_6.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_6. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_3.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_3.ahdlcmi/Linux/ (775)
Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_2. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_1.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_1.ahdlcmi/Linux/ (775)
Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_2. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_4.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_4.ahdlcmi/Linux/ (775)
Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_2. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_5.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_5.ahdlcmi/Linux/ (775)

Error found by spectre during hierarchy flattening.
ERROR (SFE-46): “/home/buet/DC_DC_Hybrid/MOSmodel/EPC2040.scs” 30: gswitch': An instance of bsource_6’ can have at most 0 terminals (but has 3).

Time for Elaboration: CPU = 456.931 ms, elapsed = 1.44781 s.
Time accumulated: CPU = 1.09583 s, elapsed = 2.06253 s.
Peak resident memory used = 42.7 Mbytes.

spectre terminated prematurely due to fatal error.

Kindly help me by seeing the above error log file.

With Regards
Kaushik

1 Like

Hi Kaushik,

The most recent version of the EPC2040 spectre model is EPC2040_V2.scs. Is that what you are using? If not, please try the updated model.

The model can be found at https://epc-co.com/epc/Portals/0/epc/documents/spectre-files/EPC2040_V0.scs.

Thank you,
Gordon

1 Like

Hi Gordon,
Thank you for the reply. But the given link is not active. Below error is coming. Please, send the
EPC2040_V2.scs as text file if you have with you.

With Regards
Kaushik

HTTP Error 404.0 - Not Found

The resource you are looking for has been removed, had its name changed, or is temporarily unavailable.

The problem is not solved . Please, look into the matter and help me out.
With Best.
Kaushik

My apologies. I had an error in the link. Correct link is:
https://epc-co.com/epc/Portals/0/epc/documents/spectre-files/EPC2040_V2.scs

Also uploaded here for convenience (EPC2040_V2.scs), as a .zip to meet attachment requirements
EPC2040_V2.zip (1.2 KB)
.

Hello Gordon,
Thank you very much for the support, I have simulated the symbol with the above mentioned file But the attached errors are coming!! Did I do any wrong method to create the symbol? I am elaborating the steps.

  1. I have opened a file in the Cadence Library Manager.
  2. Create 3 Pins there,
  3. I have given Gatein as Input, Drainin as Output and Sourcein as Input.
  4. I have made a symbol of the above cell view. .
  5. I then Instantiated the symbol in the Simulation setup and the setup is ready for simulation.
  6. I then opened ADEL window
  7. I went to setup and clicked on model libraries. The model files are included there. Here the EPS2040.scs file is also included. Then I have run the simulation in ADEL of Cadence Virtuoso,
  8. Fatal error is coming.

Could you please tell me where I am making a mistake? Is the above method ok? Kindly write to me step by step. if I have ignored any step.

I still do not understand how the EPC2040.scs is kept within the created symbol? These are two disjoint things, One side symbol and the other side EPC2040_V2.scs.

Kindly reply as I have been in trouble for a long time.

With Regards
Kaushik

Hello everybody,
Thank you very much for the support, I have simulated the symbol with the above mentioned file But the attached errors are coming!! Did I do any wrong method to create the symbol? I am elaborating the steps.

  1. I have opened a file in the Cadence Library Manager.
  2. Create 3 Pins there,
  3. I have given Gatein as Input, Drainin as Output and Sourcein as Input.
  4. I have made a symbol of the above cell view. .
  5. I then Instantiated the symbol in the Simulation setup and the setup is ready for simulation.
  6. I then opened ADEL window
  7. I went to setup and clicked on model libraries. The model files are included there. Here the EPS2040.scs file is also included. Then I have run the simulation in ADEL of Cadence Virtuoso,
  8. Fatal error is coming.

Could you please tell me where I am making a mistake? Is the above method ok? Kindly write to me step by step. if I have ignored any step.

I still do not understand how the EPC2040.scs is kept within the created symbol? These are two disjoint things, One side symbol and the other side EPC2040_V2.scs.

Kindly reply as I have been in trouble for a long time.

With Regards
Kaushik

I ran the EPC2040_V2.scs model But the below error is coming!! Please, look at it ASAP:

Error found by spectre during circuit read-in.
ERROR (SFE-3): “input.scs” 15: `EPC2040’ is being redefined.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_6.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_6.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_6.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_6. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_3.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_3.ahdlcmi/Linux/ (775)
Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_2. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_1.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_1.ahdlcmi/Linux/ (775)
Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_2. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_4.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_4.ahdlcmi/Linux/ (775)
Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_2. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_5.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_5.ahdlcmi/Linux/ (775)

Error found by spectre during hierarchy flattening.
ERROR (SFE-46): “/home/buet/DC_DC_Hybrid/MOSmodel/EPC2040_V2.scs” 30: gswitch': An instance of bsource_6’ can have at most 0 terminals (but has 3).

Time for Elaboration: CPU = 457.93 ms, elapsed = 1.54681 s.
Time accumulated: CPU = 1.05984 s, elapsed = 2.1119 s.
Peak resident memory used = 42.7 Mbytes.

specter terminated prematurely due to fatal error.

The output log is given below:

Notice from spectre in `EPC2040’, during circuit read-in.
“input.scs” 16: Subcircuit ‘EPC2040’ is empty.

Time for NDB Parsing: CPU = 515.92 ms, elapsed = 484.389 ms.
Time accumulated: CPU = 515.92 ms, elapsed = 484.389 ms.
Peak resident memory used = 32.3 Mbytes.

Error found by spectre during circuit read-in.
ERROR (SFE-3): “input.scs” 15: `EPC2040’ is being redefined.
ERROR (SFE-23): “/home/buet/DC_DC_Hybrid/MOSmodel/EPC2040_V2.scs” 7: setenv is an instance of an undefined model 0.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_6.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_6.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_6.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_6. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_3.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_3.ahdlcmi/Linux/ (775)
Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_2. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_1.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_1.ahdlcmi/Linux/ (775)
Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_2. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_4.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_4.ahdlcmi/Linux/ (775)
Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/ (775)
Compiling ahdlcmi module library.

Error found by spectre during AHDL read-in.
ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//bsource.va.bsource_2.ahdlcmi/Linux/…/ahdlcmi.out for details. If the compiler ran out of memory, use ‘setenv CDS_CMI_COMPLEVEL 0’, and try again. If the reason for the failure was a syntax error, contact your Cadence Customer Support representative with the netlist, log files, behavioral model files, and any other information that can help identify the problem.
ERROR (SFE-91): Error when elaborating the instance bsource_2. Simulation should be terminated.

Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_5.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource.va.bsource_5.ahdlcmi/Linux/ (775)

Error found by spectre during hierarchy flattening.
ERROR (SFE-46): “/home/buet/DC_DC_Hybrid/MOSmodel/EPC2040_V2.scs” 30: gswitch': An instance of bsource_6’ can have at most 0 terminals (but has 3).

Time for Elaboration: CPU = 511.923 ms, elapsed = 1.54022 s.
Time accumulated: CPU = 1.02784 s, elapsed = 2.0249 s.
Peak resident memory used = 42.7 Mbytes.

spectre terminated prematurely due to fatal error.

Please, look into the matter

Eagerly waiting for the speedy reply.

With Regards
Kaushik.

Okay, thank you for confirming that you receive the same error even when you use the most up-to-date model.

Can you successfully run simulations using a different EPC spectre model? For example, customers report that the EPC2212 spectre model behaves successfully (https://epc-co.com/epc/Portals/0/epc/documents/spectre-files/EPC2212_V1.scs). An example which is more like EPC2040 would be EPC2216 (https://epc-co.com/epc/Portals/0/epc/documents/spectre-files/epc2216_V0.scs). If you use one of these models, do you get the same error?

Regarding your question about how to create the symbol and link it to the spectre model, we have to refer you to Cadence support. We do not have access to Cadence ourselves.

Hello all,
The simulation is done by linking the EPC specter model and the Symbol from PINS But the problem is the NMOS like V-I characteristics is not coming. I have tried to characterize But the expected waveforms are not coming, yet!! all the v and I plots are at 0 level and straight line. Could you please, check the EPC 2212 model again. Why the characterization is not giving the expected output?
Please, reply me ASAP.
With Regards
Kaushik

Hi Kaushik,
It sounds like you were able to solve the initial issue, which was a hard error syntax problem. Before moving on to the IV problem you are reporting, can you please clarify what you did to solve the initial issue?

Are both EPC2212 and EPC2040 working for you now, or only one?
Thank you. Gordon

Hi Gordon,
I got solutions for EPC2212 and EPC2040 a few times But I did not get them all the time. V-I characteristics are not coming at all properly there!! I actually missed to set the different CDF parameters in Virtuoso Cadence But later the attached error was again coming! So, I moved to the EPC 8009 device as I worked in 2018 when I was in GATECH, USA .But the same error is coming now. I am unable to remember the method to mitigate the attached error then .So, I am really in trouble with the GAN devices of EPC!! If possible please, help me with your team. The latest error for all the EPC 2040 GAN device is V-I plot is not correctly coming at all !

With Regards
Kaushik

[quote=“kaushikb, post:14, topic:537”]
I am trying to simulate the V-I characteristics of EPC 2040 But not coming at all properly there!! I repeatedly requested you to resolve the issue But no reply from your side!!! If I simulate then I find constant voltage and zero valued current plot!! Please, help me as I have got stuck for a long time!!

With Regards
Kaushik

Kaushik,
You appear to be reporting that the EPC models work intermittently. You seem to be saying that you observe the same behavior for EPC2212, EPC2040, and EPC8009, meaning that you are having issues with models that other customers have confirmed work for them. At this point I cannot help you. I suspect the error is in how you are linking your symbol and the model. I encourage you to contact Cadence for support.